THMMY.gr

Ηλεκτρονικοί Υπολογιστές και Τεχνικά Θέματα => Προγράμματα για Μηχανικούς => Topic started by: stefos on April 04, 2012, 14:47:04 pm



Title: Verilog simulator?
Post by: stefos on April 04, 2012, 14:47:04 pm
Δε βρήκα παρόμοιο τοπικ γι αυτό άνοιξα καινούριο.
Γνωρίζει κανείς κανέναν free simulator/compiler της verilog για windows?


Title: Re: Verilog simulator?
Post by: Godhatesusall on April 04, 2012, 15:22:10 pm
Τι εννοείς "compiler".... Αν θες να μετατρέψεις verilog σε gate-level netlist με την βοήθεια ενός .lib file τότε θέλεις synthesis tool. Το ΑΠΘ έχει τον RTL compiler της cadence.

Αν θες να κάνεις simulate τον verilog κώδικα (νομίζω) ότι έχουμε licence για το NC-SIM πακέτο του Incisive Unified Simulator(IUS) της cadence. Στην χειρότερη χρησημοποίησε το Verilog-XL (που σίγουρα έχουμε licence), αλλά αυτός είναι compliant με verilog-1995 μόνο, να ξέρεις.


Για free tools....λίγο δύσκολο να υπάρχουν. Ξέρω μόνο για custom IC design(σαν το virtuoso δηλαδή), αλλά δεν θα στο σύστεινα.


Title: Re: Verilog simulator?
Post by: stefos on April 04, 2012, 15:28:58 pm
ναι synthesis tool εννοώ. Θα κοιτάξω για το πρώτο που λες. Thx


Title: Re: Verilog simulator?
Post by: asmd on April 04, 2012, 20:34:00 pm

για free http://iverilog.icarus.com/

για εμπορικό free Xilinx ISE webpack http://www.xilinx.com/products/design-tools/ise-design-suite/ise-webpack.htm

και για simulator μαζί με το ISE... ModelSim PE Student Edition http://model.com/content/modelsim-pe-student-edition-hdl-simulation

έχει και το ISE simulator αλλά στο webpack έχει περισσότερους περιορισμούς από το ModelSim PE Student Edition

και εάν δεν γουστάρεις Xilinx.. πηγαίνεις προς Altera μεριά https://www.altera.com/download/software/quartus-ii-we

και λογικά θα παίζει και το modelsim.. και στο quartus-ii webpack..


αυτές οι λύσεις υπάρχουν νομίζω.. ;)


Title: Re: Verilog simulator?
Post by: Godhatesusall on April 05, 2012, 21:47:00 pm
Γιατί είσαι σίγουρος ότι θέλει να τα βάλει σε FPGA? Απ'ότι κατάλαβα θέλει να κάνει IC design


Title: Re: Verilog simulator?
Post by: asmd on April 05, 2012, 21:54:33 pm

κοίταξε.. απ' ότι κατάλαβα θέλει απλά μία RTL απεικόνιση - σχηματικό..

γιατί εάν ήθελε να κάνει IC design μάλλον δεν θα μας ρωτούσε για πρόγραμμα

πιστεύω ότι θα ήξερε τι θα έπρεπε να χρησιμοποιήσει

βέβαια μπορεί να κάνω και λάθος..


αυτό που θέλω να πω πάντως είναι ότι δεν χρειάζεται να ξέρεις καν τι είναι το FPGA.. για να γράψεις synthesizable κώδικα και να κάνεις ένα behavioral simulation για να δεις ότι δουλεύει σωστά

ε, γι' αυτήν την δουλειά μπορείς να χρησιμοποιήσεις software που αφορά τα FPGAs, αφού υπάρχουν δωρεάν εκδόσεις των εμπορικών προϊόντων τους. ;)


Title: Re: Verilog simulator?
Post by: Grecs on November 08, 2018, 17:19:02 pm
Εχοντας ασχοληθει παλιοτερα με Icarus Verilog και τα εργαλεια της Xilinx καταπιαστηκα πριν λιγες μερες με τον Verilator και εχω μεινει καταευχαριστημενος απο το λιγο που επαιξα. Συνιστω ανεπιφυλακτα αν και θελει μια καποια εξοικιωση με C++ καθως εκει στηνεις τα simulation μιας και δεν υπαρχει GUI

https://www.veripool.org/wiki/verilator

Υποστηριζει
Verilog: ετρεξα καποια παλια design μου και απο οτι φαινεται κανει και synthesis rule check(εξαιρει τα prints ($display κλπ) βεβαια απο αυτο το check). Δεν εχω φτιαξει κατι απο το 0 για να δω αν μπαινει σε fpga για synthesis χωρις τροποποιηση, παντως νομιζω οτι δεν θα υπαρχει θεμα.

System Verilog: Οχι ολα τα features αλλα ουτε τα εμπορικα το κανουν αυτο, δεν ξερω σε τι φαση βρισκεται σε σχεση με τα εμπορικα. Δεν δοκιμασα ακομα να τρεξω κατι.

Δεν υποστηριζει VHDL.


Μεγαλο + οτι υποστηριζει unlimited time στο simulation που απο οτι θυμαμαι το Xilinx-ISE ειχε ενα πανω οριο.  Επισης λενε οτι ειναι πιο γρηγορο απο τα εμπορικα tools.

Debug με prints μεσω terminal και επιλογη να δεις κυματομορφες απο ολα τα σηματα στο GTKWave